Release SDC 1.12.2 26/133426/2
authorMichaelMorris <michael.morris@est.tech>
Mon, 27 Feb 2023 10:56:28 +0000 (10:56 +0000)
committerJEFF VAN DAM <jeff.van.dam@est.tech>
Mon, 27 Feb 2023 15:42:56 +0000 (15:42 +0000)
commit5ff0ba7de86a7690ef62cb9ba40e6fa95ace7d2f
tree7a31f359d64931012b1e114e3236cb905c692d27
parentd1565b3fb250c084c86d4fb8a7b96f40f2cd23f9
Release SDC 1.12.2

Signed-off-by: MichaelMorris <michael.morris@est.tech>
Issue-ID: SDC-4418
Change-Id: I2139439bbc8edbae544278c80e44d837671e1775
releases/1.12.2-container.yaml [new file with mode: 0644]