Release SDC 1.12.0 11/131911/1
authorMichaelMorris <michael.morris@est.tech>
Fri, 28 Oct 2022 23:35:10 +0000 (00:35 +0100)
committerMichaelMorris <michael.morris@est.tech>
Fri, 28 Oct 2022 23:35:16 +0000 (00:35 +0100)
commit32c117592f2f05c63ffc98d52473916ddcc450cc
tree0d75c375e15865f724073b7f33a0bfa624015769
parent69775271d556dfdd5b49ea0bb8c84b0861de8155
Release SDC 1.12.0

Signed-off-by: MichaelMorris <michael.morris@est.tech>
Issue-ID: SDC-4238
Change-Id: I4b982833776ffe1d423d37f1e97602fbe00abf6e
releases/1.12.0-container.yaml [new file with mode: 0644]