remove vnfm adapter tests
[integration/csit.git] / plans / so / vnfm_adapter / testplan.txt
diff --git a/plans/so/vnfm_adapter/testplan.txt b/plans/so/vnfm_adapter/testplan.txt
deleted file mode 100644 (file)
index 823990a..0000000
+++ /dev/null
@@ -1,3 +0,0 @@
-# Test suites are relative paths under [integration/csit.git]/tests/.
-# Place the suites in run order.
-so/vnfm_adapter/vnfm_adapter_test.robot