Mux
Ports | Libraries | Use Clauses
mux_using_with Entity Reference

Mux entity brief description Detailed description of this mux design element. More...

Entities

behavior  architecture
 Architecture definition of the MUX. More...
 

Libraries

ieee 
 Use standard library.

Use Clauses

std_logic_1164 
 Use logic elements.

Ports

din_0   in std_logic
 Mux first input.
din_1   in std_logic
 Mux Second input.
sel   in std_logic
 Select input.
mux_out   out std_logic
 Mux output.

Detailed Description

Mux entity brief description Detailed description of this mux design element.


The documentation for this class was generated from the following file: